Great Engineering of Chip Manufacturing

China’s semiconductor materials are on the fast track

11 minutes, 44 seconds Read

Given the current international trade situation, the localization of chip manufacturing, especially high-end chip manufacturing, has become increasingly important. In this situation, it is increasingly important to improve the self-sufficiency rate of semiconductor equipment and materials in the upstream of the industrial chain, as a skillful woman cannot make a meal without rice. Without appropriate equipment and raw materials, it is impossible to manufacture the required chips.
In terms of semiconductor materials, they can be divided into manufacturing materials and packaging materials according to application processes. This article mainly discusses chip manufacturing materials, including silicon wafers, special gases, masks, photoresists, wet electronic chemicals, targets, CMP polishing fluids, and polishing pads.
From a global market perspective, according to SEMI statistics, investment in wafer factory construction hit a record high from 2021 to 2023, with capital expenditure increasing by 14% in 2022 alone, reaching $26 billion. In 2022, about 28 new wafer factories will be constructed. The expansion of wafer production capacity will inevitably drive the continuous growth of demand for semiconductor materials. Following a record high market size in 2021, the global semiconductor material market size will increase by 7% year-on-year in 2022. It is expected that the global semiconductor material market size will exceed 70 billion US dollars in 2023.
In recent years, the proportion of semiconductor material consumption market in Chinese Mainland in the world has continued to increase, rising to 18.6% in 2021, becoming the world’s second largest market after Taiwan, China. However, at the supply side, the technical level of different semiconductor materials in Chinese Mainland is quite different, and there is a significant gap between China and foreign countries in general.
With the expansion of wafer production capacity, technological and technological progress, and the gradual improvement of China’s electronic semiconductor industry chain, a number of enterprises with excellent technology and great development potential have emerged in the field of semiconductor materials.
Now let’s take a look at the development of various chip manufacturing materials and related industries in Chinese Mainland.
01
silicon wafer
From the perspective of global semiconductor wafer supply, during a period of strong downstream demand, wafer shipments have remained basically flat since 2022, reflecting that global wafer production has approached a peak. From the perspective of wafer factory inventory of 12 inch wafers, monthly wafer investment exceeded procurement in 2021, and wafer factory inventory continued to decline. However, since the second half of 2022, inventories have rebounded. It seems that the supply and demand relationship for silicon wafers in 2023 will not be as tense as in previous years.
However, the supply of 12 inch silicon wafers remains tight. The 12 inch silicon wafer expansion plans of the world’s top five manufacturers (Sunrise Chemical, SUMCO, GlobalWafers, Siltronic, and SK Siltron) will be launched successively in the second half of 2021. The new capacity will not be released until the second half of 2023 at the earliest. Therefore, SUMCO estimates that the global shortage of 12 inch silicon wafers will not be alleviated until at least the end of 2023.
The last round of silicon wafer supply and demand imbalance occurred in 2016-2018. According to SEMI data, at that time, the price of silicon wafers per unit area increased by 33.5%, and the revenue and profit levels of silicon wafer manufacturers rapidly increased. This round of supply and demand imbalances is expected to trigger another price increase. Judging from the recent revenue situation of leading silicon wafer companies, the performance of Sunrise Chemical, SUMCO, and Universal Wafer is expected to be strong. In the context of limited new capacity, price increases are the main means of improving performance. At the same time, the new capacity of these leading enterprises is expected to be released in the second half of 2023, and the proportion of long-term orders in the industry will increase.
In 2023, the capacity demand of wafer fabs in Chinese Mainland will continue to be strong, putting forward higher requirements for silicon chips.
Under the constraints of international trade, in order to meet the needs of the local market, silicon chip manufacturers in Chinese Mainland, especially leading enterprises such as Shanghai Silicon Industry, Central, Lyon Micro and Shengong, have expanded their production. https ://store.stoneitech.com/
In January 2021, Shanghai Silicon Industry disclosed a fixed increase plan, proposing to raise 5 billion yuan, and a large fund to subscribe 1.5 billion yuan for the second phase. It will invest in 12 inch silicon wafer research and development and advanced manufacturing projects, as well as 12 inch high-end silicon based material research and development pilot projects, with an additional production capacity of 300000 pieces per month. After the implementation of the project, the total production capacity of 12 inch silicon wafers will reach 600000 pieces per month.
In March 2022, Lyon Micro invested 1.5 billion yuan to acquire 58.69% of the equity of Guojing Semiconductor to consolidate its market position in the 12-inch silicon chip used for storage and logic chips. Guojing Semiconductor has completed the infrastructure construction with a monthly production capacity of 400000 chips, and a fully automated production line has been completed. The production capacity of 150000 pieces per month in the first phase will be completed in the second half of 2023. In addition to 12 inch silicon chips, Lyon Microelectronics’ business of using 6 inch silicon chips for power devices is also expanding. The target monthly output of 60000 chips will increase from 175000 to 235000. These products are mainly positioned in two major application directions: automotive electronics and photovoltaic control chips.
02
Photoresist
Due to the continuous progress of process nodes and the increase in the number of storage layers, the demand for semiconductor photoresists continues to grow. According to TECHCET statistics, the global photoresist market size will increase by 7.5% year-on-year in 2022, reaching nearly 2.3 billion US dollars. From 2021-2026, the compound annual growth rate of the semiconductor photoresist market is expected to be 5.9%, with the fastest growing products being EUV and KrF photoresists. TECHCET estimates that the global KrF photoresist composite growth rate will reach 8% from 2021-2025, with 3D NAND as the main driving force, and related wafer capacity is still expanding. From 2020 to 2025, the composite growth rate of EUV photoresist will reach 53%, and such rapid growth is due to the rapid increase in EUV production capacity,
American, Japanese, and Korean companies account for 88% of the global photoresist market share. The photoresist enterprises in Chinese Mainland mainly include Nanda Optoelectronics, Jingrui Electric Materials, Tongcheng New Materials, Shanghai Xinyang, etc. Due to the late start of China’s semiconductor photoresist industry, the current market share is low.
The photoresist industry has a first-mover advantage, but technical barriers have not been broken through. The photoresist verification cycle is long, and downstream customers will not easily replace it. Entering the customer’s new capacity supply chain is an important way to enhance market influence. For example, TOK, a major international manufacturer, produces developers and edge removers, JSR produces bottom antireflection films, and Xinyue produces quartz mask blanks and silicon antireflection films. For Chinese manufacturers, Tongcheng Xincai’s KrF photoresist has been supplied in bulk to customers such as SMIC International, Huahong Hongli, Wuhan Xinxin, and China Resources Shanghua, including 12 inch and 8 inch production lines. At the same time, the company ‘
03
Electron gas
Electronic gases include bulk electronic gases and special electronic gases, accounting for 13% of chip manufacturing costs. According to Techcet statistics, the global electronic gas market size will reach 6.251 billion US dollars in 2021, of which 72.6% are electronic specialty gases and 27.4% are electronic bulk gases.
Special electronic gases are used in processes such as photolithography, etching, film formation, cleaning, doping, and deposition, mainly including cleaning gases such as nitrogen trifluoride and metal vapor deposition gases such as tungsten hexafluoride.
In recent years, semiconductor manufacturing technology has changed rapidly. For example, the size of silicon wafers has evolved from 6 inches and 8 inches to 12 inches, the manufacturing process has evolved from 28 nanometers to 3 nanometers, and display panels have evolved from LCDs to rigid OLEDs, and then to flexible foldable organic light emitting diodes. These all promote the refinement of electronic special gases. At the same time, with the continuous transfer of global semiconductor, display panel and other industrial chains to Asia and Chinese Mainland, the demand for electronic special gases with integrated circuits and display panels as the main applications is growing rapidly. According to IC Insights, China’s integrated circuit manufacturing output value will reach 43.2 billion US dollars in 2025, and the self-sufficiency rate will further increase to 19.4%.
The global electronic special gas market is mainly controlled by four companies, namely Linde, Liquefied Air, Air Chemical, and Oceanic Acid.
Currently, China’s local specialty gas products are mainly concentrated in the mid to low end market, and the high-end specialty gas market where integrated circuit manufacturing is more widely used. Local enterprises have shortcomings such as incomplete product categories and low purity. Domestic electronic specialty gas products are mainly concentrated in low-precision applications such as cleaning and local etching, and rely mainly on imports in high-precision doping, deposition, etching, and photolithography.
Special gases are hazardous chemicals that require high packaging and transportation requirements. Once a leak occurs, it will cause serious consequences. Therefore, there are many inconveniences in the import of special gases. Domestic special gas transportation and after-sales service are convenient, and the price is far lower than foreign products. In addition, domestic products do not have a “neck” problem and have a good development prospect.
04
Wet process electronic chemicals
Wet electronic chemicals are various liquid chemical materials used in integrated circuits and photoelectric wet processes (wet etching, wet cleaning).
According to the data of China Electronic Materials Industry Association, in 2021, the market size of wet process electronic chemicals in China will reach 13.78 billion yuan, with a CAGR of 17.3%, higher than the global average growth rate. It is expected to reach 30.17 billion yuan in 2028.
Currently, the localization rate of semiconductor wet process electronic chemicals is relatively low, about 20%, and the localization rate of display panel wet process electronic chemicals is about 40%. The continuous trade frictions between China and the United States have further promoted the domestic substitution process of wet process electronic chemicals.
Due to its late start, China lags behind foreign leading enterprises in terms of the richness and purification technology of wet process electronic chemicals, and its applications are mainly concentrated in the middle and low end markets, mainly supplying photovoltaic, low-generation flat panel displays, and wafer processing markets below 6 inches. The market share of G6 and G8 generation line flat panel displays and wafer processing above 8 inches is only 10%.
In recent years, Chinese local enterprises have actively explored the high-end wet process electronic chemicals market. Head manufacturers have the ability to produce G4 and G5 standard products. Combining its localization advantages in transportation, price, after-sales, and other aspects, it has broad prospects for future development, especially in the high-end market.
05
CMP Materials
CMP is a flat semiconductor surface process that combines chemical etching and mechanical polishing, and is a key process for achieving global uniform wafer planarization in integrated circuit manufacturing. CMP materials mainly include polishing pads and polishing fluids.
The physical properties of CMP polishing pads, such as hardness, stiffness, and compressibility, have a significant impact on polishing quality, material removal rate, and pad life.
The polishing pad industry is characterized by technology intensive, capital intensive, and high customer verification barriers, leading to high market concentration, mainly occupied by Dow Chemical. The company has a global market share of 79%, with the top five manufacturers accounting for 91%. Almost all CMP polishing pads used in the Chinese market rely on imports. Dow Chemical dominates nearly 90% of China’s market. Currently, among the local enterprises in China, only Dinglong Company has the mass production capacity. It is the only enterprise in China that fully grasps the core R&D and manufacturing technology of the entire CMP polishing pad process.
The polishing fluid market is also controlled by foreign manufacturers. Representative enterprises include Cabot Microelectronics, Versum, Hitachi, Fujitsu, Dow Chemical, etc., with a total market share of over 80%. However, the global polishing fluid market pattern presents a trend of diversification. Cabot Microelectronics is a leading company in the global polishing fluid market, with a market share of up to 80% in 2000 and a decline to 36% in 2017. The polishing fluid market has a high degree of dispersion, and the trend of diversified development is conducive to local related enterprises in China. At present, Anji microelectronics has achieved a certain degree of domestic substitution.
Because CMP polishing pads and fluids have a significant impact on the yield of chips, their cost share is relatively low. In mature wafer factories, in order to ensure chip yield, it is rare to replace the original supplier. Therefore, for latecomers, the certification threshold is high and the certification cycle is long. Currently, benefiting from the safe and controllable environment of the semiconductor industry chain, the certification speed of local CMP polishing pad and polishing fluid enterprises in China has been greatly accelerated, and the verification cycle has been shortened to about half a year.
06
Target material
Target material is the key material for PVD deposition and thin film deposition. Relevant foreign enterprises are in a leading position in the target market. By 2021, the top target companies in the United States and Japan have accounted for 80% of the global market share, with representative companies including Jinxinri Metal, Honeywell, Dongcao, and Plex. In recent years, China’s local leading enterprises have developed rapidly. Jiangfeng Electronics, Youyan Xincai, Ashchuang, Longhua Technology, etc. have all opened up new spaces.
07
epilogue
China’s integrated circuit industry developed relatively late. The market share of local semiconductor material companies is significantly lower than that of major international manufacturers, with a small scale of revenue and profit. In addition, compared to international manufacturers, there is also a significant gap in the richness and purity of products among Chinese local enterprises.
In recent years, against the backdrop of domestic substitution demand, some local semiconductor material products have begun to be validated by domestic wafer manufacturers and have successively received orders. As international trade restrictions increase, there are more and more opportunities for Chinese indigenous materials to enter the supply chain of local wafer factories. In a period of expanding semiconductor production capacity and complex international environment in China, the urgency of localization of the industrial chain continues to increase, and the market share of domestic semiconductor materials is expected to further increase.

Similar Posts