Hard times for semiconductor equipment giants

8 minutes, 42 seconds Read

Starting from 2021, with the rapid development of 5G, Internet of Things, big data, artificial intelligence and other fields, the global semiconductor industry is facing a huge demand for expansion of advanced process capacity, which will bring huge market space for the semiconductor equipment industry. However, advanced semiconductor equipment manufacturers, which should continue to prosper, have been expressing concern this year.

According to SEMI data, the global semiconductor equipment market will decrease by 16% annually to US$91.2 billion in 2023, with China, Taiwan, and South Korea ranking the top three. Among them, the fab equipment market will decrease by 17% to US$78.84 billion, the packaging equipment market will decrease by 13% to US$5.29 billion, and the test equipment market will decrease by 7% to US$7.07 billion. In terms of front-end equipment, the logic process equipment market will decrease by 9% compared with 2022, the DRAM equipment market will drop by 25% to US$10.8 billion, and the NAND Flash equipment market will also decline by 36% to US$12.2 billion.

The sluggishness of the market has cast a shadow over the performance of global semiconductor manufacturing equipment manufacturers.

01

The performance of global semiconductor equipment manufacturers has declined significantly

According to the “Nihon Keizai Shimbun” report, the performance growth of global semiconductor equipment manufacturers has slowed down significantly. Among the 9 major manufacturers, 8 companies will experience a year-on-year decline or slowdown in revenue from January to March 2023 (some companies will be from February to April). The reason is that the poor semiconductor market conditions have led to stagnant demand, and the US export restrictions to China have also had a certain impact.

 

The US Applied Materials recently announced data that it expects revenue from February to April 2023 to be 6 billion to 6.8 billion US dollars, a decrease of 4% to 9% from the same period of the previous year.

During the period from October to December 2022 (AMAT is from November to January of the following year), 5 of the 9 major manufacturers have ensured final profitability, such as Lam and Advan. However, judging from the revenue forecasts of each company from January to March this year (AMAT is from February to April), there is a clear tendency for growth to slow down. Six companies, including Lam Group and Tokyo Electron, may see a year-on-year decrease in revenue, while profit-making companies Adesto and SCREEN holdings will see their profit growth rate at the lowest level in two years.

According to SEMI’s forecast, the world’s semiconductor equipment market will hit a record high of US$108.5 billion in 2022, but in 2023 there will be negative growth for the first time in four years.

02

Reasons for performance pressure

On the one hand, semiconductor manufacturers reduce investment. Affected by weakening demand for smartphones and other terminals and economic slowdown, semiconductor users have begun to reduce the excess inventory accumulated in the past few years, and plant construction plans have also been temporarily shelved or postponed, and the semiconductor market is rapidly deteriorating. In particular, major memory manufacturers that are more affected will see a sharp drop in equipment investment in 2023. For example, Micron said it will significantly reduce capital expenditures, reducing the capital expenditure plan for fiscal year 2023 from US$12 billion in fiscal year 2022 to US$8 billion. Cut spending on chip equipment by up to 50%; and SK Hynix turned to completely canceling equipment purchase orders in the fourth quarter after requesting delayed deliveries when the semiconductor market was sluggish in the second quarter of last year.

On the other hand, the export restrictions imposed by the United States on China have become a heavy burden. Since the U.S. Department of Commerce’s Bureau of Industry and Security issued new export control regulations targeting China’s advanced computing, semiconductor manufacturing and supercomputer fields in October last year, the amount of mainland China’s purchase of semiconductor manufacturing equipment has dropped by 27% year-on-year, setting a record of nearly two lowest point of the year. Six of the world’s top ten semiconductor equipment manufacturers have stood up to warn.

 

Applied Materials: It is estimated that the revenue loss in China will be as high as US$2.5 billion in 2023, but if the US government accelerates the issuance of licenses required for supply, the impact may be reduced to US$1.5 billion to US$2 billion.

ASML: ASML’s largest customer in 2021 will be Chinese chip manufacturers, who have contributed more than US$29 billion to ASML. ASML also said Chinese customers may have difficulty obtaining other parts they need. If tool orders from China slow, it may be sold elsewhere.

Lam Group: China accounts for about 30% of Lam’s sales, and revenue is expected to decline by $2 billion to $2.5 billion in 2023. This year’s sales from mainland China may be cut by nearly half. If it is not affected by the new regulations, this year’s revenue figures will be much higher.

Kelei: In the third quarter of 2022, the Chinese market contributed the most to Kelei’s revenue of US$2.724 billion, accounting for 31% of its revenue. Kelei Group expressed a pessimistic attitude towards the business prospects in mainland China, estimating a global revenue loss of US$600-900 million in 2023.

Tokyo Electronics: About a quarter of the company’s revenue comes from the mainland, and the overall downgraded annual performance amounted to 250 billion yen, about half of which was due to the impact of the new US regulations, which restricted equipment exported to the mainland.

ASMI: In the first nine months of 2022, ASMI’s equipment sales in China accounted for 16% of its total revenue, and it is expected that the new US regulations on China will affect more than 40% of its sales in China.

While suppressing the development of China’s semiconductor industry, the new U.S. regulations on semiconductor export control to China will not only affect the performance of semiconductor equipment manufacturers in mainland China, but will also affect their global market share. Especially in the context of the continuous decline in the global semiconductor market and the reduction of capital expenditures by chip manufacturers, the life of semiconductor equipment manufacturers is not easy.

It is reported that Applied Materials, Fanlin Group, and Kelei, the three major semiconductor equipment manufacturers in the United States, have been transferring non-Chinese employees to Singapore and Malaysia since October last year, or trying to increase production capacity in Southeast Asia.

According to the executives of subsystem suppliers of Fanlin Group and Kelei, the above trend appeared at the end of last year. “Over the past few months, customers have asked us to speed up support for their South East Asian stronghold. We have noticed an increase in the customer’s local staff.”

Lam said the company’s strategy to be geographically close to customers has led it to invest across Asia, including a new technology production facility in Malaysia, a technology center in South Korea and an engineering facility in India. “Due to macroeconomic headwinds, recent trade restrictions limiting our ability to operate in China, and an expected decline in global wafer fabrication equipment spending in 2023, we are taking a number of steps to manage costs.”

Ke Lei also said: “Given the current geopolitical pressure, our business in Southeast Asia is increasing.”

The loss of China’s semiconductor market has brought a great deal of damage to the business of semiconductor equipment giants. However, this also gives opportunities for the development of local equipment manufacturers in China.

03

Opportunities for Chinese semiconductor equipment manufacturers?

According to SIA statistics, global semiconductor equipment can be roughly divided into 11 categories and more than 50 types. The front-end equipment is used in the wafer manufacturing process, covering hundreds of processes from light sheets to wafers, mainly including photolithography machines, etching machines, thin film deposition machines, ion implanters, CMP equipment, cleaning machines, front-end equipment, etc. There are eight categories of testing equipment and oxidation annealing equipment. Front-end equipment accounts for 80% to 85% of the entire market. Among them, lithography machines, etching machines and thin-film equipment are the three most valuable links, and the respective market sizes are equal. It has reached more than 20% of the total amount of front-end equipment; back-end equipment is mainly divided into testing equipment and packaging equipment.

At present, the world’s top five semiconductor equipment manufacturers are all front-end equipment application manufacturers, namely Applied Materials, ASML, Tokyo Electronics, Fanlin Semiconductor, and Kelei. Among them, three platforms span the etching, thin film, cleaning, and ion Injection and other fields. Under the ban, will more semiconductor equipment orders have the opportunity to flow to domestic equipment manufacturers?

In 2022, the localization rate of semiconductor equipment of Chinese wafer manufacturers will increase significantly compared with 2021, from 21% to 35%. According to the bidding situation of domestic wafer production lines in 2022, it can also be found that: Chinese semiconductor equipment manufacturers have won bids for 231 pieces of equipment in total, and the winning bid ratio has reached about 30%. In PVD equipment, oxidation equipment, wet etching equipment and other fields, the proportion of domestic equipment has exceeded 50%, some even as high as 70%. According to previous data, in 2019, the proportion of domestic semiconductor equipment was only about 7.5%, and now it has increased by about 30%.

In addition to the significant increase in the bid winning rate of equipment, the performance of Chinese semiconductor equipment manufacturers in 2022 will also be doubly impressive.

As of February 1, 2023, nearly 100 semiconductor industry-related companies have released performance forecasts for 2022, and more than half of them have achieved year-on-year growth in net profit, and most of them are listed semiconductor companies in the equipment and materials category. Among the companies whose growth rate is greater than 100%, there are many semiconductor equipment companies such as Tuojing Technology, Xinyuanwei, Huahai Qingke, Changchuan Technology, and North Huachuang.

It is reported that the 2022 performance forecasts of 12 semiconductor equipment companies that have been announced have all achieved positive growth. Calculated by the lower limit of growth, there are 6 companies whose growth rate exceeds 1 times, and 9 companies whose growth rate exceeds 30%.

Under the circumstance that the performance of overseas chip giants is thundering, the performance of domestic semiconductor equipment manufacturers is generally improving and growing against the trend. This will undoubtedly inject confidence in the localization of semiconductor equipment under the current situation. Presumably there will be greater market flow in the future Domestic semiconductor manufacturers. As domestic manufacturers invest more in the field of semiconductor equipment and some manufacturers enter the global market, industry competition will further intensify.

Compared with 2022, the market pressure faced by the global chip industry in 2023 is still not easy. The situation of oversupply of chips is difficult to rewrite in the short term, and the recovery of the semiconductor equipment industry may require a longer period of inventory adjustment.

Similar Posts