China’s semiconductor materials are on the fast track

12 minutes, 37 seconds Read

In view of the current international trade situation, the importance of localization of chip manufacturing, especially high-end chip manufacturing, is becoming more and more prominent. In this case, it is even more important to improve the self-sufficiency of semiconductor equipment and materials in the upstream of the industrial chain, because it is difficult for a clever woman to cook without rice, and without suitable equipment and raw materials, the chips she wants cannot be produced.

In terms of semiconductor materials, they can be divided into manufacturing materials and packaging materials according to the application links. Here we mainly discuss chip manufacturing materials. Such materials mainly include silicon wafers, special gases, masks, photoresists, wet electronic chemicals, and target materials. , CMP polishing fluid and polishing pads, etc.

From the perspective of the global market, according to SEMI statistics, the investment in fab construction in 2021-2023 will reach a record high, and the capital expenditure in 2022 alone will increase by 14%, reaching 26 billion US dollars, and there will be about 28 new wafers in 2022 Factory construction begins. The expansion of wafer production capacity will surely drive the continuous growth of demand for semiconductor materials. Following the record high market size in 2021, the global semiconductor material market size will increase by 7% year-on-year in 2022, and the global semiconductor material market size is expected to exceed 70 billion US dollars in 2023.

In recent years, the proportion of the semiconductor material consumption market in mainland China in the world has continued to increase, and the proportion will rise to 18.6% in 2021, making it the second largest market in the world after Taiwan, China. However, on the supply side, the technical level of different semiconductor materials in mainland China is quite different, and the overall gap with foreign countries is obvious.

With the expansion of fab production capacity, the advancement of technology and technology, and the gradual improvement of China’s electronic semiconductor industry chain, a number of companies with good technical skills and great development potential have emerged in the field of semiconductor materials.

Let’s take a look at various chip manufacturing materials and the development of related industries in mainland China.

01

silicon wafer

From the perspective of global semiconductor silicon wafer supply, during the period of strong downstream demand, silicon wafer shipments have been basically flat year-on-year since 2022, reflecting that global silicon wafer production has almost reached its peak. From the perspective of the inventory of 12-inch silicon wafers in the fab, the monthly input of silicon wafers in 2021 will be greater than the purchase amount, and the inventory of silicon wafers in the fab will continue to decline. However, since the second half of 2022, the inventory has rebounded. In this way, the supply and demand relationship of silicon wafers in 2023 will not be as tense as it has been in the past few years.

However, the supply of 12-inch silicon wafers is still relatively tight, and the expansion of 12-inch silicon wafers by the world’s top five manufacturers (Shin-Etsu Chemical, SUMCO, GlobalWafers, Siltronic, and SK Siltron) is planned for It will be launched in the second half of 2021, and the new capacity will not be released until the second half of 2023 at the earliest. Therefore, SUMCO predicts that at least by the end of 2023, the global shortage of 12-inch silicon wafers will be difficult to alleviate.

The last round of silicon wafer supply and demand imbalance occurred in 2016-2018. According to data from SEMI, the price per unit area of silicon wafers rose by 33.5% at that time, and the revenue and profitability of silicon wafer manufacturers increased rapidly. This round of supply and demand imbalance is expected to trigger price increases again. From the recent revenue of leading silicon wafer companies, it can be seen that Shin-Etsu Chemical, SUMCO, and Universal Wafer have strong performance expectations. Under the current background of limited new production capacity, price increases are the key to improving performance. primary means. At the same time, the new production capacity of these leading companies is expected to be released in the second half of 2023, and the proportion of long-term contracts in the industry will increase.

In the year of 2023 in mainland China, the demand for fab production capacity will continue to be strong, which puts forward higher requirements for the demand for silicon wafers.

In the case of international trade restrictions, in order to meet the needs of the local market, silicon wafer manufacturers in mainland China, especially leading companies, such as Shanghai Silicon Industry, Zhonghuan, Leon Micro, Shengong, etc., are expanding production capacity.

In January 2021, the Shanghai Silicon Industry disclosed a fixed increase plan, planning to raise 5 billion yuan, and the second phase of the big fund will subscribe 1.5 billion yuan to invest in 12-inch silicon wafer research and development and advanced manufacturing projects, and 12-inch high-end silicon-based materials research and development pilot projects , The new production capacity can reach 300,000 pieces/month. After the project is implemented, the total production capacity of 12-inch silicon wafers will reach 600,000 pieces per month.

In March 2022, Leon Micro spent 1.5 billion yuan to acquire a 58.69% stake in Guojing Semiconductor to strengthen its market position in lightly doped 12-inch silicon wafers for storage and logic chips. Guojing Semiconductor has completed the infrastructure construction with a monthly production capacity of 400,000 pieces, and the fully automated production line has been completed. The first phase of the monthly production capacity of 150,000 pieces will be completed in the second half of 2023. In addition to 12-inch silicon wafers, Leon Micro’s 6-inch silicon wafer business for power devices is also expanding, with a target monthly production of 60,000 pieces, and the monthly production capacity of power devices will increase from the original 175,000 pieces to 235,000 pieces. These products are mainly positioned in the two application directions of automotive electronics and photovoltaic control chips.

02

photoresist

Thanks to the continuous improvement of process nodes and the increase in the number of memory layers, the demand for semiconductor photoresists continues to grow. According to TECHCET statistics, the global photoresist market size will increase by 7.5% year-on-year in 2022, reaching nearly 2.3 billion US dollars. From 2021 to 2026, the compound annual growth rate of the semiconductor photoresist market is expected to be 5.9%. The fastest products are EUV and KrF photoresists. TECHCET expects the compound growth rate of global KrF photoresist to reach 8% from 2021 to 2025, the main driving force is 3D NAND, and related wafer production capacity is still expanding. The compound growth rate of EUV photoresist will reach 53% from 2020 to 2025. This high-speed growth is due to the rapid increase in EUV production capacity, including the continuous evolution of logic chip process nodes and the introduction of EUV technology for DRAM.

American, Japanese and South Korean companies account for 88% of the global photoresist market share. Chinese mainland photoresist companies mainly include Nanda Optoelectronics, Jingrui Electric Materials, Tongcheng New Materials, Shanghai Xinyang, etc. Due to the late start of my country’s semiconductor photoresist industry, the current market share is low.

There is a first-mover advantage in the photoresist industry, but the technical barriers are not so high that they cannot be broken through. The photoresist verification cycle is long, and downstream customers will not easily replace it. Entering the supply chain of customers’ new production capacity is an important way to enhance market influence. For example, TOK, an international manufacturer, produces developer and edge remover, and JSR produces bottom anti-reflection For coatings, Shin-Etsu produces quartz mask blanks and silicon anti-reflective coatings. For Chinese manufacturers, the KrF photoresist of Red Avenue New Materials has been supplied in batches to customers such as SMIC, Huahong Hongli, Wuhan Xinxin, and China Resources Shanghua, including 12-inch and 8-inch production lines. The engraving-related supporting reagent project will be completed and put into production in the second half of 2022.

03

electronic gas

Electronic gases include bulk electronic gases and electronic specialty gases, accounting for 13% of chip manufacturing costs. According to Techcet statistics, in 2021, the global electronic gas market will reach US$6.251 billion, of which electronic specialty gases account for 72.6% and electronic bulk gases account for 27.4%.

Electronic special gases are used in lithography, etching, film formation, cleaning, doping, deposition and other process links, mainly including cleaning gases such as nitrogen trifluoride, and metal vapor deposition gases such as tungsten hexafluoride.

In recent years, semiconductor manufacturing technology has changed rapidly. For example, the size of silicon wafers has grown from 6 inches, 8 inches to 12 inches, the process technology has grown from 28nm to 3nm, and the display panel has changed from LCD to rigid OLED to flexible and foldable OLED. These have prompted the continuous improvement of the refinement of electronic specialty gases. At the same time, due to the continuous transfer of the global semiconductor, display panel and other industrial chains to Asia and mainland China, the demand for electronic specialty gases with integrated circuits and display panels as the main application has grown rapidly. According to statistics from IC Insights, it is estimated that China’s integrated circuit manufacturing output value will reach 43.2 billion US dollars in 2025, and the self-sufficiency rate will further increase to 19.4%. According to Forst & Sullivan statistics, from 2020 to 2024, the compound annual growth rate of China’s display panel market will be 6.34. %, these demand for electronic special gas has brought a continuous and strong pulling effect.

The global electronic special gas market is mainly dominated by four companies, namely Linde of Germany, Air Liquide of France, Air Chemicals of the United States and Taiyo Nippon Sanso of Japan.

At present, China’s domestic special gas products are mainly concentrated in the low-end market. In the high-end special gas market where integrated circuit manufacturing is more widely used, local companies have shortcomings such as incomplete product categories and low purity. Domestically produced electronic special gases are mainly concentrated in cleaning and For low-precision application links such as partial etching, high-precision doping, deposition, etching, and photolithography links mainly rely on imports.

Specialty gases are dangerous chemicals, which have high requirements for packaging and transportation. Once a leak occurs, serious consequences will occur. Therefore, there are many inconveniences in importing special gases. The transportation and after-sales service of domestic special gas is convenient, and the price is much lower than that of foreign products. In addition, domestic products do not have the problem of “stuck neck” and have good development prospects.

04

Wet Electronic Chemicals

Wet electronic chemicals are various liquid chemical materials used in integrated circuits and optoelectronic wet processes (wet etching, wet cleaning).

According to data from the China Electronic Materials Industry Association, in 2021, China’s wet electronic chemicals market size will be 13.78 billion yuan, with a compound annual growth rate of 17.3%, which is higher than the global average growth rate. It is expected to reach 301.1 billion in 2028. 700 million yuan.

At present, the localization rate of wet electronic chemicals for semiconductors is relatively low, about 20%, and the localization rate for display panels is about 40%. The ongoing trade friction between China and the United States has further promoted the domestic substitution process of wet electronic chemicals.

Due to a late start, my country’s wet electronic chemicals category richness and purification technology level lag behind foreign leading companies, and their applications are mostly concentrated in the low-end market, mainly supplying photovoltaics, low-generation flat panel displays, and wafer processing markets below 6 inches. G6 , G8 generation line flat panel display and 8-inch wafer processing market share is only 10%.

In recent years, Chinese local enterprises have actively explored the market of high-end wet electronic chemicals. Leading manufacturers already have the ability to produce G4 and G5 standard products. Combined with localized advantages in transportation, price and after-sales, the future development space is broad, especially is in the high end market.

05

CMP material

CMP is a planarization semiconductor surface process combined with chemical etching and mechanical polishing, and is a key process to achieve global uniform planarization of wafers in the integrated circuit manufacturing process. CMP materials mainly include polishing pads and polishing fluids.

Physical properties such as hardness, rigidity, and compressibility of CMP polishing pads have a significant impact on polishing quality, material removal rate, and life of the polishing pad.

The polishing pad industry is characterized by technology-intensive, capital-intensive, and high barriers to customer verification, which leads to a high degree of market concentration, mainly occupied by Dow Chemical, which has a global market share of 79%, and the top five manufacturers account for 91%. share. The CMP polishing pads used in the Chinese market are almost entirely dependent on imports. Dow Chemical has monopolized nearly 90% of the Chinese market. At present, among Chinese local companies, only Dinglong is capable of mass production, and it is the only company in China that fully masters CMP polishing. An enterprise that pads the core R&D and manufacturing technology of the whole process.

The polishing fluid market is also dominated by foreign manufacturers. Representative companies include Cabot Microelectronics, Versum, Hitachi, Fujimi, Dow Chemical, etc., with a combined market share of more than 80%. However, the global polishing fluid market structure tends to diversify. Cabot Microelectronics of the United States is the leading company in the global polishing fluid market. Its market share was as high as 80% in 2000, and dropped to 36% in 2017. The degree of dispersion in the polishing fluid market is relatively high, and the trend of diversified development is good for related local companies in China. At present, Anji Microelectronics has achieved a certain degree of domestic substitution.

Since CMP polishing pads and polishing liquids have a great impact on chip yield, but the cost ratio is relatively low, in mature fabs, in order to ensure chip yield, the original supplier is rarely replaced. Therefore, for latecomers, the certification threshold is high and the certification cycle is long. At present, benefiting from the safe and controllable environment of the semiconductor industry chain, the certification speed of local CMP polishing pad and polishing liquid enterprises in China has been accelerated a lot, and the verification period has been shortened to about half a year.

06

target

Target material is the key material for PVD deposition and thin film deposition. Relevant foreign companies are in a dominant position in the target market. As of 2021, American and Japanese head target companies account for 80% of the global market share. Representative companies include JX Japan Mining Metal, Honeywell, Tosoh and Praxair. In recent years, China’s local leading enterprises have grown rapidly, and Jiangfeng Electronics, Youyan New Materials, Ashichuang, Longhua Technology, etc. have all opened up a space.

07

epilogue

The development of China’s integrated circuit industry is relatively late, and the market share of local semiconductor material companies is significantly lower than that of international major manufacturers, with relatively small revenue and profits. In addition, compared with major international manufacturers, there is a big gap between Chinese local companies in terms of product richness and purity.

In recent years, under the environment of domestic substitution demand, some local semiconductor material products have begun to be verified in domestic wafer factories and have successively received orders. With the increase of international trade restrictions, there are more and more opportunities for Chinese local materials to enter the supply chain of local fabs. Under the expansion cycle of China’s semiconductor production capacity and the complex international environment, the urgency of the localization of the industrial chain continues to increase, and domestic semiconductor materials are expected to further increase their market share.

Similar Posts