China’s semiconductor equipment has entered the era of “big cleaning”

8 minutes, 49 seconds Read

Last week, another important message came from the Chinese semiconductor equipment market. The local cleaning equipment manufacturer Shengmei Shanghai launched a new type of post-CMP cleaning equipment, which is the company’s first post-CMP cleaning equipment for cleaning after manufacturing high-quality substrate chemical mechanical polishing process. The 6-inch and 8-inch configurations of the cleaning equipment are applicable to the manufacture of silicon carbide (SiC) substrates; The 8-inch and 12-inch configurations are suitable for silicon wafer manufacturing.
After the CMP step, it is necessary to use diluted chemicals for physical pre-cleaning process at low temperature to reduce the number of particles. Post-CMP cleaning equipment can meet these requirements.
Among the various front-end semiconductor equipment used for wafer processing, the localization rate of cleaning equipment used in the Chinese market is still relatively high, reaching 38%, second only to 74% of the degumming equipment. 38% of the market share, which has both a certain scale and a relatively large development space, is a category worthy of attention.
Importance of cleaning process
In each link of wafer processing, the cleaning process is essential. It is mainly used to remove the ultra-fine particle pollutants, metal residues, organic residues, and photoresist mask residues left by the previous process in the wafer processing process. It can also be used for wet etching of film materials such as silicon oxide film, silicon nitride or metal, as required, to prepare the wafer surface conditions for the next process.
According to different media, semiconductor cleaning technology is mainly divided into dry cleaning and wet cleaning. At present, wet cleaning is the mainstream, accounting for more than 90% of the total number of cleaning steps. According to different process requirements, wet cleaning uses specific chemical solution and deionized water to clean the wafer surface without damage to remove impurities in the wafer manufacturing process, often supplemented by ultrasonic, heating, vacuum and other technical means. Dry cleaning refers to the cleaning technology that does not use chemical solvents. The pollutants that can be cleaned are relatively simple. It is applied in the logic and memory chip manufacturing process of 28nm and above process technology.
The differences in process technology and application conditions make the cleaning equipment on the market also have obvious differences. At present, the main cleaning equipment includes single crystal circle cleaning equipment, automatic cleaning table and washing machine. Other cleaning equipment also includes ultrasonic/megasonic cleaning equipment, wafer box cleaning equipment, dry cleaning equipment (such as plasma cleaning equipment), but the market share of these equipment is relatively small.
With the development of large-scale integrated circuits, the importance of cleaning in wafer processing becomes more and more prominent, and the requirements for precision become higher and higher. When the process node is 35nm, the parameter requirements are already high. It is necessary to ensure that the density of particles and COP on the surface of silicon wafer is less than 0.1 per square centimeter. However, the current advanced process node is below 5 nm, which puts forward higher requirements for wafer cleaning parameters. In addition, economic benefits also require semiconductor companies to make continuous breakthroughs in cleaning technology and improve the parameter level of cleaning equipment. With the shrinking of manufacturing process nodes, effective nondestructive cleaning will be a major challenge for manufacturers seeking advanced manufacturing process chip production solutions, especially for chips with 7nm, 5nm or even smaller manufacturing process nodes. The wafer factory must be able to remove smaller random defects from the flat wafer surface, and also adapt to more complex and more sophisticated 3D chip architecture to avoid damage or material loss, So as to ensure yield and profit.
As the process nodes continue to shrink, during wafer processing, the yield decreases with the line width. One way to improve the yield is to increase the cleaning process steps. In the process of 80 nm – 60 nm, there are about 100 cleaning steps, but in the advanced process of 20 nm and later, the number of cleaning steps has increased to more than 200.
The giants control the market
Cleaning equipment accounts for about 5% of the total scale of the semiconductor equipment market. In 2021, the cleaning equipment market will grow rapidly, and the market size will reach 4.2 billion US dollars. It is estimated that it will reach 4.7 billion US dollars in 2022.
For a long time, the global cleaning equipment market has been controlled by SCREEN, TEL, LAM and SEMES (a three-star subsidiary). The total market share of these four companies has reached more than 90%, among which, the highest market share of DEENZ is more than 50%. Over the years, DEANS has developed various cleaning equipment suitable for various environments, and has won the first market share in the three main areas of cleaning technology. After 2014, Shengmei Company also entered this field and occupied a small market share.
Due to the relatively low technical threshold of the automatic cleaning table, there are more market participants, but the market share is firmly controlled by Deans and Tokyo Electronics. The washing machine equipment is also basically dominated by the two companies, Deans and Tokyo Electronics. Deans accounts for 60% – 70% of the share.
The market leading position of DEANS stems from its technical barriers, and the company has been leading the most advanced cleaning technology. Taking the single crystal wafer cleaning equipment as an example, DEANS has continuously achieved technical improvement and breakthrough, and developed new cleaning equipment products, from SU-2000, SU-3100 to SU-3200, and then to SU-3300, continuously pursuing greater wafer cleaning capacity, while effectively reducing the cost of the wafer factory. The SU-3200 can integrate 12 chambers with a processing capacity of 800 pieces per hour, while the SS-3300 can integrate 24 chambers with a higher processing capacity, which effectively solves the defect of low productivity of single crystal circle cleaning equipment, and gives full play to its excellent cleaning performance, meeting the requirements of 10nm and 7nm cleaning parameters. Especially in the field of memory chips, with DRAM manufacturers pursuing smaller process nodes and increasing investment in the 3D NAND production line, the SU-3300 can provide refined cleaning technology, and can achieve a production capacity close to that of the automatic cleaning station.
Deans believes that the future development of cleaning equipment will focus on three aspects: first, the expansion of the production line when wafer foundries and logic chip factories continue to layout smaller process nodes, with the focus on high-precision single-crystal wafer cleaning equipment; The second is the 3D structure memory chip, focusing on the high capacity single crystal circle cleaning equipment and the high cleaning precision automatic cleaning table; Third, we should attach importance to the Chinese market, which will be the home of the semiconductor world in the future.
The rise of local manufacturers in China
China’s semiconductor market is vast, and cleaning equipment has a good development prospect here. Although the international semiconductor equipment giants occupy most of the market share, Chinese local manufacturers also have a good performance.
At present, there are three domestic manufacturers that can provide medium and high-end wet cleaning equipment, namely Zhichun Technology, North Huachuang and Shengmei, and the market share of domestic manufacturers is still rising year by year. Compared with other semiconductor equipment, the technical threshold of cleaning equipment is relatively low, and it is expected to take the lead in realizing comprehensive localization in the next five years.
In terms of products, local enterprises also have their own strengths. Shengmei Shanghai’s single-piece cleaning equipment, full-automatic trough cleaning equipment, single-piece trough combined cleaning equipment, and TEBO megasonic cleaning equipment are very distinctive. By October 2021, the company’s wet equipment has delivered 2000 cavities, with a total shipment of more than 300 sets; North Huachuang mainly produces single-piece cleaning equipment and full-automatic trough cleaning equipment; Zhichun Technology is good at single-piece cleaning equipment and trough cleaning equipment. The company’s single-piece wet equipment is of the spin-spray type, which is compared with companies such as DEANS and LAM. By the third quarter of 2021, Zhichun Technology has delivered more than 100 wet equipment in total, with customers covering SMIC Ningbo, SMIC Shaoxing, SMIC Tianjin, Huawei, Yandong Microelectronics, Shanghai Integrated Circuit Research and Development Center, and Li Jidian; Xinyuan Micro is also a rising semiconductor equipment enterprise in China. The company’s single chip cleaning equipment and automatic SCRUBBER cleaning equipment are very distinctive.
Products and related technologies of Chinese cleaning equipment enterprises (source: Oriental Securities Research Institute)
The rise of Chinese cleaning equipment manufacturers has provided more support for local wafer manufacturers. The data shows that major domestic chip manufacturers, such as Changjiang Storage, Huali Integration and Huahong, are increasingly using cleaning equipment produced by local manufacturers.
According to the statistics of CITIC Securities, in the 2017~2022 cleaning equipment bidding of Yangtze River Storage, Shengmei Shanghai won the bid for the number of equipment only next to Deans, and the domestic manufacturers winning the bid also include North Huachuang, Eton, and Silicon Core Technology. Shengmei Shanghai won the bid for 35 sets, mainly including various single-chip cleaning machines. North Huachuang won the bid for two sets of process block control etching and recycling cleaning machines. Yitang won the bid for two cleaning machines in 2021, and Silicon Core Technology won the bid for five parts cleaning machines.
Between 2017 and 2022, among the cleaning equipment purchased by Huali Integration, Shengmei Shanghai won a total of 19 sets, including the former and the latter process cleaning equipment, the number of which is only second to that of Deans. North Huachuang won 13 sets, all of which are component cleaning equipment, and Xinyuan Micro won 3 sets of brush cleaning equipment.
From 2017 to 2022, among the cleaning equipment purchased by Huahong Wuxi, Deans and Shengmei Shanghai ranked the top two, among which, Shengmei won the bid for 24 sets, including the front and rear processes, involving copper wire polymer stripping, aluminum wire and through-hole cleaning, polysilicon oxide film silicon wafer regeneration, diffusion furnace front cleaning and other links. Shanghai Jiyi, another domestic manufacturer, won the first bid for Huahong Wuxi cleaning equipment in September 2021, which is a 12-inch plasma back cleaning machine.
According to the cumulative bidding situation of the three wafer factories, Changjiang Storage, Huali Integration and Huahong Wuxi, the total number of domestic cleaning equipment won the bid reached 114, and the total number of wafer factory bidding equipment was 301, so the localization rate was about 37.8%.
However, at present, the domestic cleaning equipment is still mainly used in the post-processing process, and part of it is used to process the control and blocking film, while the equipment used in the pre-processing process of the positive film and wafer factory is rarely made in China. This is not enough, but also an opportunity. There is a lot of room for development in the future.

Similar Posts